MyFPGA Forum

 找回密码
 注册
搜索

【FAQ】添加了.stp配置文件后的Quartus工程再编译就报错

查看数: 1414 | 评论数: 0 | 收藏 0
关灯 | 提示:支持键盘翻页<-左 右->
    组图打开中,请稍候......
发布时间: 2022-10-28 10:31

正文摘要:

Q: 使用DE2-115开发板,创建好新的Quartus工程可以正常编译成功;接着配置Signal Tap Logic Analyzer,并将保存好的.stp文件嵌入到Quartus工程,再编译工程时就报错提示can't elaborate inferred hierarchy "sld_hu ...

回复

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-6 16:33 , Processed in 0.056329 second(s), 20 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表