MyFPGA Forum

 找回密码
 注册
搜索
收藏本版 |订阅

参考设计 今日: 0|主题: 117|排名: 96 

作者 回复/查看 最后发表
全局置顶 隐藏置顶帖 预览 友晶论坛导航(资料、问题解答、课程开设、专题讨论...) attach_img digest Doreen 2021-4-22 119062 Isaac1022 2023-8-14 12:19
本版置顶 隐藏置顶帖 预览 D5M搭配Terasic 主板的参考例程 attachment digest BOB_Sun 2020-6-19 04071 BOB_Sun 2020-6-19 16:08
本版置顶 隐藏置顶帖 预览 DCC搭配Terasic主板的参考例程 attachment BOB_Sun 2020-6-30 03721 BOB_Sun 2020-6-30 18:39
本版置顶 隐藏置顶帖 预览 D8M搭配Terasic主板的参考例程 attachment digest BOB_Sun 2020-6-19 03527 BOB_Sun 2020-6-19 18:02
      
预览 SDRAM Controller Reference Design attachment  ...23456..7 Steady_Chou 2010-2-5 12455890 chkevin0 2016-11-7 16:34
预览 DE1 NIOS-BASED VGA Display attachment  ...23 rich 2009-5-13 5734423 lgeorge123 2012-9-8 21:18
预览 ED2 framebuffer and video capture interface for SOPC attachment  ...23 jeff 2010-3-10 5728894 jeff 2012-7-26 19:42
预览 [轉貼]如何從DE2的SD Card讀取JPEG圖片並透過VGA輸出  ...2 dwcc 2009-4-20 3925963 salon202 2012-7-17 20:23
预览 基于DE2的俄罗斯方块控制程序 attachment  ...2 兵临城下 2009-9-20 2821464 clarktanglei23 2012-7-23 22:33
预览 UART(RS232) Reference Design on DE0 attach_img Steady_Chou 2010-2-4 1820587 Hobs 2020-6-17 16:53
预览 DE0 JPEG to BMP 并存入SD Card 的 demo attachment  ...2 yqzhang 2010-9-9 2520541 yiening 2014-3-2 13:30
预览 DE0+D5M源代碼分享 attachment  ...2 keith_shen 2009-10-28 2920383 johnyeongc 2013-11-15 14:11
预览 基于DE1的NiosII及SD Card Music Player训练教程 attachment  ...2 Frank 2009-6-19 2218220 vmolvr7c 2014-12-16 09:55
预览 经典教材:数字信号处理的FPGA实现 attachment  ...2 Steady_Chou 2010-1-21 3317583 xunbaoren 2013-6-20 12:57
预览 LED 亮度控制 attachment rich 2010-1-9 1917164 rocklee25 2014-3-1 19:40
预览 友晶科技范例 huihuang70 2012-8-21 215751 doer@qd 2013-6-28 15:02
预览 [IP] 8051 Source Code attachment  ...2 Steady_Chou 2009-4-27 3215124 guolh 2011-11-27 23:41
预览 DE2_115 uclinux 移植 attachment liyongjie 2010-9-30 1815095 long123 2012-2-29 17:30
预览 Altera杯上海交大电子设计竞赛内部材 attachment  ...2 tuoluofu 2010-6-5 2814670 FPGA 2012-9-2 14:05
预览 USB Blaster Windows 7(x64) Driver attachment oskarwu 2009-10-10 1614403 tingtang 2011-7-22 18:49
预览 推荐几本学习FPGA入门的好书 attachment lcq5070 2010-3-8 1813796 lwwsky 2012-7-17 20:23
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册
验证码 换一个

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-24 06:34 , Processed in 0.033958 second(s), 11 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

返回顶部 返回版块