MyFPGA Forum

 找回密码
 注册
搜索
楼主: dwcc
打印 上一主题 下一主题

[轉貼]如何從DE2的SD Card讀取JPEG圖片並透過VGA輸出

[复制链接]
21#
发表于 2010-7-9 16:56:03 | 只看该作者
好好看看
22#
发表于 2010-7-11 16:16:48 | 只看该作者
正在学习VGA中,非常感谢!!
23#
发表于 2010-7-12 09:34:16 | 只看该作者
好东西,值得学习。
24#
发表于 2010-7-13 16:42:15 | 只看该作者
這篇就是最直接的範例:
NIOSII + SDRAM + JPEG Decoder + USB Mass Storage
http://www1.cs.columbia.edu/~sed ... /PictureBrowser.pdf
25#
发表于 2010-8-5 22:22:50 | 只看该作者
这个是个好东西呢!
26#
发表于 2010-8-20 15:56:28 | 只看该作者
能不能将RGB转换成bmp存在SD卡中啊!
27#
发表于 2010-8-23 20:35:37 | 只看该作者
谢楼主了
28#
发表于 2010-9-17 10:00:48 | 只看该作者
学习一下
29#
发表于 2010-9-18 21:34:04 | 只看该作者
谢谢分享
30#
发表于 2010-10-14 20:58:57 | 只看该作者
这个,找了好久
31#
发表于 2010-12-13 19:01:46 | 只看该作者
这么猛料??
32#
发表于 2011-1-11 11:42:35 | 只看该作者
真的很不错啊,下了2个看看
33#
发表于 2011-3-2 10:19:19 | 只看该作者
目前正在寫SD Card controller,希望這個
範例有說到如何移植FatFS
34#
发表于 2011-3-18 19:59:43 | 只看该作者
能不能将RGB转换成bmp存在SD卡中啊!
35#
发表于 2011-3-28 08:24:02 | 只看该作者
感谢楼主!支持楼主!
36#
发表于 2011-3-28 17:34:31 | 只看该作者
回复 34# 自由人2008
---------------------
可以,但是没弄过...
37#
发表于 2011-9-30 10:13:30 | 只看该作者
这个得收藏了
38#
发表于 2012-2-25 22:47:29 | 只看该作者
学习学习,谢谢!
39#
发表于 2012-6-11 20:45:09 | 只看该作者
不错,值得看看
40#
发表于 2012-7-17 20:23:28 | 只看该作者
太好了...我正在研究VGA
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-4-29 13:55 , Processed in 0.043002 second(s), 12 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表