MyFPGA Forum

 找回密码
 注册
搜索
查看: 7159|回复: 4
打印 上一主题 下一主题

Verilog控制LCD

[复制链接]
1#
发表于 2009-10-28 16:05:15 | 显示全部楼层
看来这个LCD是用控制LCD内部register的方式来控制LCD的设定及要显示的位置,所以你可能先参考3.3.1 8080 Mode System Bus Timing用verilog 或是NIOS写出一样的timing之后,先读写register(参考4.4 Display Commands) 看写入与读出的值对不对,再来想该怎么让LCD秀出你要的图案。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-21 15:03 , Processed in 0.037434 second(s), 15 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表