MyFPGA Forum

 找回密码
 注册
搜索
查看: 20341|回复: 25
打印 上一主题 下一主题

DE0 JPEG to BMP 并存入SD Card 的 demo

[复制链接]
1#
发表于 2011-10-9 11:04:21 | 显示全部楼层
在nios编译的时候有这个错误“more undefined references to `alt_nticks' follow”
在sd_controller.c这个文件里。
请问该怎么解决?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-4 18:50 , Processed in 0.048114 second(s), 14 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表