MyFPGA Forum

 找回密码
 注册
搜索
查看: 4474|回复: 2
打印 上一主题 下一主题

nios的指令周期是多少?

[复制链接]
1#
发表于 2012-3-23 23:12:02 | 显示全部楼层
是不是 FPGA是并行执行的,采用流水结构,所以 100M的时钟,一条指令只要:10ns?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-10 13:20 , Processed in 0.036305 second(s), 13 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表