MyFPGA Forum

 找回密码
 注册
搜索

【FAQ】编译Quartus工程提示:Error (10228)...

查看数: 3360 | 评论数: 0 | 收藏 0
关灯 | 提示:支持键盘翻页<-左 右->
    组图打开中,请稍候......
发布时间: 2021-3-9 14:01

正文摘要:

本帖最后由 Doreen 于 2021-3-9 14:02 编辑 Q:编译Quartus工程提示 Error (10228): Verilog HDL error at ... cannot  be declared more than once 怎么解决呢?

回复

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-14 14:14 , Processed in 0.035409 second(s), 20 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表