MyFPGA Forum

 找回密码
 注册
搜索
查看: 5090|回复: 4
打印 上一主题 下一主题

新手上路

[复制链接]
跳转到指定楼层
1#
发表于 2010-5-14 10:22:16 | 显示全部楼层 回帖奖励 |倒序浏览 |阅读模式
如何用VHDL实现倍频器?
2#
 楼主| 发表于 2010-5-15 09:50:52 | 显示全部楼层
用VHDL硬件设计语言   不过还是要谢谢你!
3#
 楼主| 发表于 2010-5-15 11:21:16 | 显示全部楼层
受教了。谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-18 12:05 , Processed in 0.032423 second(s), 14 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表