MyFPGA Forum

 找回密码
 注册
搜索
查看: 5128|回复: 1
打印 上一主题 下一主题

求助!关于DE2-115 VGA连接电脑显示器的问题

[复制链接]
跳转到指定楼层
1#
发表于 2012-6-19 08:32:08 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
VGA代码就是我在一本书上找到的,用verilog 编写,我仿真过都是对的。
我用的是电脑的显示器,直接将显示器的数据线接到开发板上的VGA接口。
  可是我把程序下载到开发板上后,显示器没有任何反应。是不是我少了什么步骤。
希望有经验的帮帮忙,非常感谢。
2#
发表于 2012-9-5 08:24:29 | 只看该作者
我这有DE2-115_camera的代码,你要不要啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-5 08:40 , Processed in 0.036224 second(s), 14 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表