MyFPGA Forum

 找回密码
 注册
搜索
查看: 7521|回复: 2
打印 上一主题 下一主题

altera FIFO IP的使用

[复制链接]
跳转到指定楼层
1#
发表于 2011-9-30 15:01:55 | 显示全部楼层 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 yqzhang 于 2011-10-8 13:14 编辑

1. IP接口说明
module FIFO_CTRL (
        clock,         //FIFO所使用clock
        data,         //准备写进FIFO的数据
        rdreq,       //FIFO读取请求, 高有效
        wrreq,       //FIFO写入请求, 高有效
        empty,      //FIFO空标志, 高有效
        full,           //FIFO满标志,    高有效
        q,             //准备读取FIFO的数据
        usedw);   //FIFO中所存数据的数量,当FIFO进入的时候,会增加;当FIFO读取的时候,进减少

2.  DE0板子的FIFO测试code
DE0_FIFO.rar (210.14 KB, 下载次数: 52)
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-28 23:22 , Processed in 0.040159 second(s), 17 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表