MyFPGA Forum

 找回密码
 注册
搜索
查看: 5683|回复: 1
打印 上一主题 下一主题

[問題] 求助FPGA DE1 PWM驅動舵機問題

[复制链接]
1#
发表于 2011-8-26 22:50:57 | 显示全部楼层
這一包你可以拿去改看看
我把PWM寫在LED上 你拿去再修改  

module PWM(LED,
                           clk);
  
  output [15:0]LED;
  reg    [15:0]LED;
  
  input clk;
  
  reg state;
  reg [31:0]counter;
  reg [31:0]pwm;
  
  
   always @(posedge clk)  
        begin
          if(state == 1'b0)
          begin
            state = 1'b1;
          end
          
          if(state == 1'b1)
          begin
          
          if (counter <= pwm)
          begin
           LED = 8'b1111_1111;
          end
          
          else
           LED = 8'b0000_0000;
               
    end
        end
       
        always@(posedge clk)  
        begin
          if(state == 1'b1)
          begin
          
          if(counter == 32'd100000)
          begin
            pwm = pwm +32'd50;
            counter = 32'd0;
                 if(pwm >= 32'd100000)
                    pwm = 32'd0;
                 
          end
          else
          begin
             counter = counter + 32'd1;
          end
          
          end
          
        end
endmodule
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-19 02:22 , Processed in 0.029853 second(s), 13 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表