MyFPGA Forum

标题: 【FAQ】DE0_NANO上的ADC转换器可以一次读取8个通道的值吗 [打印本页]

作者: BOB_Sun    时间: 2022-3-15 14:53
标题: 【FAQ】DE0_NANO上的ADC转换器可以一次读取8个通道的值吗
Q: 关于DE0-NANO开发板的ADC转换器,它有8个通道,那可以同时一次性读取这8个通道的值吗?DE0_NANO_ADC demo是通过设置SW[3:0]为8种状态,分8次读取每个通道的值,所以想问是否可以做到修改code来实现同时读取这8个通道的值。

A: DE0-NANO开发板的ADC是低速ADC转换器(采样率50ksps到200ksps),不能同时读取多个通道,只能依次一个一个读取。

可以做到先把8个通道分别读取存起來,然后一起读取,不过这样速度会慢8倍。

如果是高速ADC,比如DCC(ADC采样率150MSPS)和ADA(ADC采样率65MSPS)子卡,它们的ADC是独立的2通道,可以做到同时读取2个通道。
作者: Doreen    时间: 2022-3-23 17:39
本帖最后由 Doreen 于 2022-3-23 17:47 编辑

从ADC128S022 的 datasheet我们可以看到这样的描述: , the ADC128S022 is in track mode: switch SW1 connects the sampling capacitor to one of eight analog input channels through the multiplexer

所以LTC2308 的内部电路是有个mux作选择的, 一次是转化一个通道的信号。






欢迎光临 MyFPGA Forum (http://www.myfpga.org/discuz/) Powered by Discuz! X3