MyFPGA Forum

标题: 【FAQ】设置完qsys以后编译工程提示Error (12006) [打印本页]

作者: Doreen    时间: 2021-9-15 16:29
标题: 【FAQ】设置完qsys以后编译工程提示Error (12006)
Q:设置完qsys以后编译工程提示:Error (12006): Node instance "u0" instantiates undefined entity "nios2_system". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.

A: 您这是忘记了把.qsys文件添加到assignments ——> settings里面去:






欢迎光临 MyFPGA Forum (http://www.myfpga.org/discuz/) Powered by Discuz! X3