MyFPGA Forum

 找回密码
 注册
搜索
查看: 4462|回复: 2
打印 上一主题 下一主题

nios的指令周期是多少?

[复制链接]
跳转到指定楼层
1#
发表于 2010-7-17 21:04:13 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
SOPC用100M的时钟,nios执行一条指令的时间是多少?
2#
发表于 2011-8-14 16:08:47 | 只看该作者
同问~
nios执行一条指令的时间是多少呢?
3#
发表于 2012-3-23 23:12:02 | 只看该作者
是不是 FPGA是并行执行的,采用流水结构,所以 100M的时钟,一条指令只要:10ns?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-4-28 05:35 , Processed in 0.041531 second(s), 14 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表