MyFPGA Forum

 找回密码
 注册
搜索
查看: 5079|回复: 4
打印 上一主题 下一主题

新手上路

[复制链接]
跳转到指定楼层
1#
发表于 2010-5-14 10:22:16 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
如何用VHDL实现倍频器?
2#
发表于 2010-5-14 14:22:31 | 只看该作者
回复 1# pex857617729


   可以用PLL实现倍频,
3#
 楼主| 发表于 2010-5-15 09:50:52 | 只看该作者
用VHDL硬件设计语言   不过还是要谢谢你!
4#
发表于 2010-5-15 10:44:43 | 只看该作者
倍频器屬於類比電路, 需要搭配FPGA內部的PLL才能完成

一般的VHDL語言應無法辦到 ....
5#
 楼主| 发表于 2010-5-15 11:21:16 | 只看该作者
受教了。谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-2 13:35 , Processed in 0.039003 second(s), 14 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表