MyFPGA Forum

 找回密码
 注册
搜索
查看: 5149|回复: 1
打印 上一主题 下一主题

简单的测试设计 (VHDL)

[复制链接]
跳转到指定楼层
1#
发表于 2010-3-1 09:33:58 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
以下是一个简单的用VHDL描述的的测试设计,它实例化设计并提供激励到移位寄存器.
VHDL 测试设计示例:
library IEEE;
use IEEE.std_logic_1164.all;
entity testbench is
end entity testbench;
architecture test_reg of testbench is
component shift_reg is
port (clock : in std_logic;
reset : in std_logic;
load : in std_logic;
sel : in std_logic_vector(1 downto 0);
data : in std_logic_vector(4 downto 0);
shiftreg : out std_logic_vector(4 downto 0));
end component;
signal clock, reset, load: std_logic;
signal shiftreg, data: std_logic_vector(4 downto 0);
signal sel: std_logic_vector(1 downto 0);
constant ClockPeriod : TIME := 50 ns;
begin
UUT : shift_reg port map (clock => clock, reset => reset,
load => load, data => data,
shiftreg => shiftreg);
process begin
clock <= not clock after (ClockPeriod / 2);
end process;
process begin
reset <= ’1’;
data <= "00000";
load <= ’0’;
set <= "00";
wait for 200 ns;
reset <= ’0’;
load <= ’1’;
wait for 200 ns;
data <= "00001";
wait for 100 ns;
sel <= "01";
load <= ’0’;
wait for 200 ns;
sel <= "10";
wait for 1000 ns;
end process;
end architecture test_reg;
如希望用一个命令来返回输出到终端。在vhdl中,std_textio程序包被用于在终端上显示信息。
2#
发表于 2010-4-30 16:19:08 | 只看该作者
这个textio程序包的使用还真不会啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-5-12 06:30 , Processed in 0.037057 second(s), 14 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表