MyFPGA Forum

 找回密码
 注册
搜索
订阅

最新回复

标题 版块/群组 作者 回复/查看 最后发表
本版置顶 DE10-Nano 配套参考资料大全(免费下载、不定期更新!) DE10-Nano BOB_Sun 2021-8-3 02647 BOB_Sun 2021-8-3 17:00
【FAQ】请问能提供一下TR10a-LPQ的Transceiver_Test参数吗?attach_img TR10a-LPQ Doreen 2021-8-3 01534 Doreen 2021-8-3 15:31
本版置顶 DE1-SoC 配套参考资料大全(免费下载、不定期更新!) DE1-SoC BOB_Sun 2021-8-3 03486 BOB_Sun 2021-8-3 14:56
【FAQ】DECA 输出10K和 40K 方波 DECA Doreen 2021-8-3 01755 Doreen 2021-8-3 10:50
实测 DE10_Nano_Xfce.img 的 scp 功能 (ssh)attach_img DE10-Nano Doreen 2021-7-30 01843 Doreen 2021-7-30 17:55
【FAQ】使用soc-fpga想要编译C code 一定要购买SOC EDS的license吗? DE10-Nano Doreen 2021-7-28 01728 Doreen 2021-7-28 15:22
【FAQ】如何将sof和 elf 都固化到epcs?(FPGA配置文件和nios code)attach_img TSP/OSK Doreen 2021-7-28 02151 Doreen 2021-7-28 14:09
【FAQ】19.1的BSP 能直接在19.4上跑吗?如何自己升级opencl BSP? DE10-Pro Doreen 2021-6-18 11915 Doreen 2021-7-28 10:43
【FAQ】 TR10a-LPQ Transceiver_Test源码在哪? TR10a-LPQ Doreen 2021-7-28 01654 Doreen 2021-7-28 09:05
2021年全球FPGA创新大赛,开赛了! 2021全球FPGA创新大赛 Doreen 2021-7-27 05512 Doreen 2021-7-27 15:11
【FAQ】DE0-Nano 三种供电方式attach_img DE0-Nano Doreen 2021-7-27 01948 Doreen 2021-7-27 11:42
【FAQ】搭配DE10-Standard使用时,HTG GPIO接口的Pin11测量不到5Vattach_img HTG BOB_Sun 2021-7-27 01609 BOB_Sun 2021-7-27 09:08
【FAQ】ADC-SOC 操作温度,储存温度,湿度 是多少呢?attach_img ADC-SoC Doreen 2021-7-26 01741 Doreen 2021-7-26 11:50
【FAQ】DE10_Nano_Bal.v左右电机还有两个控制端P和N是用来干什么 平衡车 Doreen 2021-7-23 01700 Doreen 2021-7-23 16:58
【FAQ】DE5a-NET-DDR4的PCIe_DDR4的demo可以支持gen3 x4吗? DE5a-Net-DDR4 Doreen 2021-7-22 01795 Doreen 2021-7-22 17:14
【FAQ】FLIK class code 值设定Altera PCIE 0000:2e:00.0: can't enable devi... FLIK Doreen 2021-7-22 01837 Doreen 2021-7-22 15:15
【FAQ】FLIK的 PCIe_DDR4 测试数据(速度)及DMA传输方式attach_img FLIK Doreen 2021-7-22 01666 Doreen 2021-7-22 15:10
【FAQ】FLIK的工作温度 最大功耗TDP TLA FLIK Doreen 2021-7-22 01600 Doreen 2021-7-22 15:06
【FAQ】编译my_first_hps出现make (e=2): ????make: *** [main.o] Error 2attach_img DE10-Nano Doreen 2021-7-20 01345 Doreen 2021-7-20 12:08
【FAQ】为什么DECA上的50M 晶振输出的波形不是方波?attach_img DECA Doreen 2021-7-20 02014 Doreen 2021-7-20 12:03
【FAQ】用Nios II flash programmer时,无法弹出Add按钮添加文件?attach_img 其他 bingxia 2021-7-16 02332 bingxia 2021-7-16 10:40
【FAQ】DE0-Nano电路图上的U9 EPCS64器件标注DNI但板子上有安装?attach_img DE0-Nano bingxia 2021-7-16 02046 bingxia 2021-7-16 09:52
【FAQ】DECA 如何精准输出60HZ 方波?attach_img DECA Doreen 2021-7-16 01851 Doreen 2021-7-16 09:38
【FAQ】DE5-NET开发板的Stratix V FPGA器件是否支持时序仿真?attach_img DE5-Net bingxia 2021-7-15 01888 bingxia 2021-7-15 15:13
DE1-SOC开发笔记汇总(转自用户) DE1-SoC Doreen 2021-7-14 01978 Doreen 2021-7-14 17:33
【FAQ】执行OpenVINO Development Guide中3.1节步骤9的make -j8时报错attach_img TSP/OSK bingxia 2021-7-12 01765 bingxia 2021-7-12 16:38
【FAQ】用nios-2-flash-programmer烧录EPCS,提示No EPCS_9D6017 layout dataattach_img DE0-Nano bingxia 2021-7-12 02142 bingxia 2021-7-12 16:09
【FAQ】如何查询FPGA器件的LVDS信号速率和时钟最大工作频率?attach_img 其他 bingxia 2021-7-12 02480 bingxia 2021-7-12 15:24
HERO进入系统后无法打开ModelSim软件attach_img HERO wsxiabing 2021-7-8 01798 wsxiabing 2021-7-8 17:56
【FAQ】如何扩展DE10-Pro 运行Linux时的RAM空间?attach_img DE10-Pro bingxia 2021-7-8 02015 bingxia 2021-7-8 15:07
【FAQ】怎样让DE0-Nano-SOC 的 GPIO 在FPGA被配置之前输出低电平 DE0-Nano-SoC/Atlas-SoC Doreen 2021-7-5 02092 Doreen 2021-7-5 12:28
【FAQ】DE10-Nano的OPENCV案例测试提示没有空间attach_img DE10-Nano Doreen 2021-7-5 01799 Doreen 2021-7-5 10:31
【FAQ】F2G 的GPIO电压怎么理解?4个3-pin跳帽接头的作用是什么attach_img F2G (FMC to GPIO) Doreen 2021-7-2 01636 Doreen 2021-7-2 09:43
【FAQ】VCU118 Xilinx FPGA 平台+友晶HDMI-FMC 无法下载(jtag) HDMI-FMC Doreen 2021-7-2 01825 Doreen 2021-7-2 09:29
【FAQ】DE10-Pro的PCB 版本在哪查?attach_img DE10-Pro Doreen 2021-7-2 01657 Doreen 2021-7-2 09:21
【故障】DE10-Pro 工作中 风扇突然不动了,D21亮起来了attach_img DE10-Pro Doreen 2021-7-2 01530 Doreen 2021-7-2 09:20
【FAQ】如何修改时钟芯片Si5340B的时钟?attach_img ADC-FMC Doreen 2021-7-1 01673 Doreen 2021-7-1 17:23
【FAQ】DE10-Pro 自我保护机制 过热时D21会亮起来!attach_img DE10-Pro Doreen 2021-6-30 01574 Doreen 2021-6-30 09:43
【FAQ】卸载驱动aocl uninstall 以后 系统卡住了 DE10-Pro Doreen 2021-6-30 01434 Doreen 2021-6-30 09:36
【FAQ】使用Eclipse下载RISC-V elf时报错Starting OpenOCD timed outattach_img T-Core bingxia 2021-6-25 01919 bingxia 2021-6-25 17:33
【FAQ】DE10-Standard的GPIO接口I/O的电压阀值是固定的吗attach_img DE10-Standard bingxia 2021-6-25 02690 bingxia 2021-6-25 08:45
UBT和 UBT2可以改变jtag速率吗? UBT 和 UBT2 Doreen 2021-6-23 01739 Doreen 2021-6-23 09:49
如何使用Intel的PowerPlay Early Power Estimator工具?新人帖 Quartu Prime/Modelsim//IP wsxiabing 2021-6-21 11893 Doreen 2021-6-21 14:45
【FAQ】DECA搭配的MIPI camera 有没有推荐的? DECA Doreen 2021-6-21 01824 Doreen 2021-6-21 11:03
【FAQ】 DE10-Agilex opencl下载sof提示Expected JTAG ID code...but found....attach_img DE10-Agilex Doreen 2021-6-18 02279 Doreen 2021-6-18 11:11
【FAQ】DE10-Agilex 提示 cant’t scan JTAG chain, Error code 87 DE10-Agilex Doreen 2021-6-18 01928 Doreen 2021-6-18 11:00
【FAQ】如何测量DE2i-150开发板的功耗? DE2i-150 bingxia 2021-6-17 01899 bingxia 2021-6-17 14:41
【FAQ】HERO进入Linux系统后无法打开Quartus软件attach_img HERO bingxia 2021-6-17 02049 bingxia 2021-6-17 14:34
【FAQ】DE10-Nano的Cyclone V SE 5CSEBA6U23I7 FPGA是否支持low-power模式attach_img DE10-Nano bingxia 2021-6-16 01915 bingxia 2021-6-16 11:21
【FAQ】请问UBT 支持5v供电吗?支持 jtag 除错(debug)工具吗?attach_img UBT 和 UBT2 Doreen 2021-6-15 01650 Doreen 2021-6-15 11:48

小黑屋|手机版|Archiver|MyFPGA

GMT+8, 2024-3-29 17:13 , Processed in 0.088979 second(s), 11 queries .

Powered by Discuz! X3

© 2001-2013 Comsenz Inc.

返回顶部