MyFPGA Forum

标题: 用SOPC Builder建立一個能在DE2-70上跑μC/OS-II 的Nios II系統 [打印本页]

作者: Floria    时间: 2009-8-27 19:56
标题: 用SOPC Builder建立一個能在DE2-70上跑μC/OS-II 的Nios II系統
本帖最后由 sharon_ho 于 2009-9-2 21:39 编辑

[轉載]
出處:台大王勝德教授網路上公開的網頁
標題:如何自己用SOPC Builder建立一個能在DE2-70上跑μC/OS-II 的Nios II系統
摘要:
Abstract
本文使用Quartus II、SOPC Builder、Nios II EDS從0開始打造一個能在DE2-70上跑μC/OS-II的Nios II系統,初學者可借此範例熟悉Quartus II、SOPC Builder、Nios II EDS的使用,並且了解基於FPGA的嵌入式系統開發流程。

Introduction
使用環境:Quartus II 8.1 + Nios II EDS 8.1 + DE2-70 (Cyclone II EP2C70F896C6N)

為什麼要從0開始建立一個Nios II系統呢?

1.可以自行對SOPC做最佳化。

2.很多範例都是純硬體的Verilog code,需要自行從0開始建立Nios II系統,不能再使用Altera或友晶科技所建立好的Nios II系統。

祥全文:
http://quest.ee.ntu.edu.tw/jenny ... 1-ucos-ii/Lab1.html
作者: oomusou    时间: 2009-8-30 22:59
哈 這是我以前在學校寫的lab
竟然被你找到了
太厲害了
作者: oomusou    时间: 2009-8-30 23:02
這個lab總共有4個
目前這個是第一個
最後可以做出一個數位像框
將圖片放在SD卡中
最後可以依序的在LTM上顯示

我再陸續的放在blog上
作者: Floria    时间: 2009-8-31 21:52
感謝無雙大俠 !! !!竟然是您的高作 ^^ 拜服拜服
作者: 草竹    时间: 2010-4-21 23:43
不错 谢谢分享
作者: 363436899    时间: 2010-5-26 18:08
谢谢啦   好啊   都看看
作者: lixuerui0109@    时间: 2010-7-12 10:58
大侠,请我flash的怎么做啊?就是在SOPCBuilder中做,我们搞了快一个月了也没成功啊。
作者: tom7232    时间: 2010-8-4 23:00
無雙大俠上了你的blog,想問你其他的lab什麼時候會放上,小弟一直期待中
作者: tuoluofu    时间: 2010-8-10 20:27
萧大侠又开始更新blog了吗,去看看先!




欢迎光临 MyFPGA Forum (http://www.myfpga.org/discuz/) Powered by Discuz! X3